G. Ascia, V. Catania, A. G. Di-nuovo, M. Palesi, and D. Patti, Efficient design space exploration for application specific systems-on-a-chip, Journal of Systems Architecture, vol.53, issue.10, pp.733-750, 2007.
DOI : 10.1016/j.sysarc.2007.01.004

P. Faraboschi, G. Brown, J. A. Fisher, G. Desoli, and F. Homewood, Lx : A Technology Platform for Customizable VLIW Embedded Processing, ISCA '00 : Proceedings of the 27th annual international symposium on Computer architecture, pp.203-213, 2000.

J. A. Fisher, Trace Scheduling: A Technique for Global Microcode Compaction, IEEE Transactions on Computers, vol.30, issue.7, pp.478-490, 1981.
DOI : 10.1109/TC.1981.1675827

J. A. Fisher, Very Long Instruction Word architectures and the ELI-512, ISCA '83 : Proceedings of the 10th annual international symposium on Computer architecture, pp.140-150, 1983.

A. Floch, C. Wolinski, and K. Kuchcinski, Combined scheduling and instruction selection for processors with reconfigurable cell fabric, ASAP 2010, 21st IEEE International Conference on Application-specific Systems, Architectures and Processors, 2010.
DOI : 10.1109/ASAP.2010.5540997

URL : https://hal.archives-ouvertes.fr/inria-00480680

J. P. Grossman, Compiler and Architectural Techniques for Improving the Effectiveness of VLIW Compilation, 2007.

M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge et al., MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), pp.3-14, 2001.
DOI : 10.1109/WWC.2001.990739

A. A. Kountouris and C. Wolinski, Hierarchical conditional dependency graphs for conditional resource sharing, Proceedings. 24th EUROMICRO Conference (Cat. No.98EX204), p.10313, 1998.
DOI : 10.1109/EURMIC.1998.711816

K. Kuchcinski, Constraints-driven scheduling and resource assignment, ACM Transactions on Design Automation of Electronic Systems, vol.8, issue.3, pp.355-383, 2003.
DOI : 10.1145/785411.785416

K. Kuchcinski and C. Wolinski, Global approach to assignment and scheduling of complex behaviors based on HCDG and constraint programming, Journal of Systems Architecture, vol.49, issue.12-15, pp.12-15489, 2003.
DOI : 10.1016/S1383-7621(03)00075-4

C. Lee, M. Potkonjak, and W. H. Mangione-smith, MediaBench : a tool for evaluating and synthesizing multimedia and communicatons systems, MICRO 30 : Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, pp.330-335, 1997.

Y. Lin, C. H. Lu, C. Wu, C. Tang, and Y. You, Effective Code Generation for Distributed and Ping-Pong Register Files: A Case Study on PAC VLIW DSP Cores, Journal of Signal Processing Systems, vol.220, issue.4598, pp.269-288, 2008.
DOI : 10.1007/s11265-007-0059-4

Y. Lu, L. Shen, L. Huang, Z. Wang, and N. Xiao, Optimal subgraph covering for customisable VLIW processors, IET Computers & Digital Techniques, vol.3, issue.1, pp.14-23, 2009.
DOI : 10.1049/iet-cdt:20070104

K. Martin, C. Wolinski, K. Kuchcinski, A. Floch, and F. Charot, Constraint-Driven Identification of Application Specific Instructions in the DURASE System, SAMOS '09 : Proceedings of the 9th International Workshop on Embedded Computer Systems : Architectures , Modeling, and Simulation, pp.194-203, 2009.
DOI : 10.1145/785411.785416

URL : https://hal.archives-ouvertes.fr/inria-00449798

B. Middha, A. Gangwar, A. Kumar, M. Balakrishnan, and P. Ienne, A Trimaran based framework for exploring the design space of VLIW ASIPs with coarse grain functional units, Proceedings of the 15th international symposium on System Synthesis , ISSS '02, pp.2-7, 2002.
DOI : 10.1145/581199.581203

T. Miyamori, Venezia : a scalable multicore subsystem for multimedia applications, Proceedings of the 8th International Forum on Application-Specific Multi-Processor SoC, 2008.

D. Saptono, V. Brost, F. Yang, and E. Prasetyo, Design Space Exploration for a Custom VLIW Architecture: Direct Photo Printer Hardware Setting Using VEX Compiler, 2008 IEEE International Conference on Signal Image Technology and Internet Based Systems, pp.416-421, 2008.
DOI : 10.1109/SITIS.2008.69

A. S. Terechko and H. Corporaal, Inter-cluster communication in VLIW architectures, ACM Transactions on Architecture and Code Optimization, vol.4, issue.2, p.11, 2007.
DOI : 10.1145/1250727.1250731

J. Van-de-waerdt, S. Vassiliadis, S. Das, S. Mirolo, C. Yen et al., The TM3270 Media-Processor, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05), pp.331-342, 2005.
DOI : 10.1109/MICRO.2005.35