B. Calder, G. Reinman, and D. M. Tullsen, Selective value prediction, ACM SIGARCH Computer Architecture News, vol.27, issue.2, pp.64-74, 1999.
DOI : 10.1145/307338.300985

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.152.4915

R. J. Eickemeyer and S. Vassiliadis, A load-instruction unit for pipelined processors, IBM Journal of Research and Development, vol.37, issue.4, pp.547-564, 1993.
DOI : 10.1147/rd.374.0547

F. Gabbay and A. Mendelson, Speculative execution based on value prediction. Citeseer, 1996.

J. González and A. González, Speculative execution via address prediction and data prefetching, Proceedings of the 11th international conference on Supercomputing , ICS '97, pp.196-203, 1997.
DOI : 10.1145/263580.263631

J. González and A. González, Limits of Instruction Level Parallelism with Data Value Speculation, Proc. of the VECPAR Conf, pp.585-598, 1998.
DOI : 10.1007/10703040_34

J. González and A. González, The potential of data value speculation to boost ILP, Proceedings of the 12th international conference on Supercomputing , ICS '98, pp.21-28, 1998.
DOI : 10.1145/277830.277840

J. L. Hennessy and D. A. Patterson, Computer architecture: a quantitative approach, 2011.

. Intel, Intel 64 and IA-32 Architectures Software Developer's Manual Combined Volumes 2A, 2B, and 2C: Instruction Set Reference, A-Z. Intel Corporation, 2012.

M. H. Lipasti and J. P. Shen, Exceeding the dataflow limit via value prediction, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29, pp.226-237, 1996.
DOI : 10.1109/MICRO.1996.566464

M. H. Lipasti, C. B. Wilkerson, and J. P. Shen, Value locality and load value prediction, ACM SIGOPS Operating Systems Review, vol.30, issue.5, pp.138-147, 1996.
DOI : 10.1145/248208.237173

T. Nakra, R. Gupta, and M. L. Soffa, Global context-based value prediction, Proceedings Fifth International Symposium on High-Performance Computer Architecture, pp.4-12, 1999.
DOI : 10.1109/HPCA.1999.744311

B. Rychlik, J. Faistl, B. Krug, and J. P. Shen, Efficacy and performance impact of value prediction, Proceedings. 1998 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.98EX192), p.148, 1998.
DOI : 10.1109/PACT.1998.727186

R. Sathe and M. Franklin, Available parallelism with data value prediction, Proceedings. Fifth International Conference on High Performance Computing (Cat. No. 98EX238), pp.194-201, 1998.
DOI : 10.1109/HIPC.1998.737989

Y. Sazeides and J. E. Smith, Implementations of context based value predictors, 1997.

Y. Sazeides and J. E. Smith, The predictability of data values, Proceedings of 30th Annual International Symposium on Microarchitecture, 1997.
DOI : 10.1109/MICRO.1997.645815

A. Seznec, Analysis of the O-GEometric History Length Branch Predictor, International Symposium on Computer Architecture, pp.394-405, 2005.

A. Seznec, Genesis of the O-GEHL branch predictor, Journal of Instruction-Level Parallelism, 2005.

A. Seznec, The L-TAGE Branch Predictor, Journal of Instruction-Level Parallelism, 2007.

A. Seznec, A 64-Kbytes ITTAGE indirect branch predictor, Journal of Instruction-Level Parallelism, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00639041

A. Seznec, Storage free confidence estimation for the TAGE branch predictor, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pp.443-454, 2011.
DOI : 10.1109/HPCA.2011.5749750

URL : https://hal.archives-ouvertes.fr/inria-00512130

A. Seznec and P. Michaud, A case for (partially) tagged geometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, pp.1-23, 2006.

D. M. Tullsen and J. S. Seng, Storageless value prediction using prior register values, Computer Architecture Proceedings of the 26th International Symposium on, pp.270-279, 1999.

K. Wang and M. Franklin, Highly accurate data value prediction using hybrid predictors, Proceedings of 30th Annual International Symposium on Microarchitecture, pp.281-290, 1997.
DOI : 10.1109/MICRO.1997.645819

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.16.9417

H. Zhou, C. Ying-fu, E. Rotenberg, and T. Conte, A study of value speculative execution and misspeculation recovery in superscalar microprocessors, 2000.