G. Agosta, S. C. Reghizzi, G. Falauto, and M. Sykora, Jist : Just-in-time scheduling translation for parallel processors, Scientific Programming, pp.239-253, 2005.

J. Adam-butts, S. Gurindar, and . Sohi, A static power model for architects, Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pp.191-201, 2000.

. Calypto, Catapult product family datasheet, 2012.

J. Gregory and . Chaitin, Register allocation & spilling via graph coloring, In ACM Sigplan Notices, vol.17, pp.98-105, 1982.

J. Cong and Z. Zhang, An efficient and versatile scheduling algorithm based on SDC formulation, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.433-438, 2006.
DOI : 10.1145/1146909.1147025

B. Dupont-de-dinechin, Inter-block Scoreboard Scheduling in a JIT Compiler for VLIW Processors, Euro-Par 2008?Parallel Processing, pp.370-381, 2008.
DOI : 10.1007/978-3-540-85451-7_40

A. Ferreira, D. Souza, and P. Rounce, Dynamically trace scheduled vliw architectures, High-Performance Computing and Networking, pp.993-995, 1998.

C. James, . Dehnert, K. Brian, . Grant, P. John et al., The transmeta code morphing TM software : using speculation, recovery, and adaptive retranslation to address real-life challenges, Proceedings of the international symposium on Code generation and optimization : feedback-directed and runtime optimization, pp.15-24, 2003.

M. Duranton, D. Black-schaffer, K. De-bosschere, and J. Maebe, The hipeac vision for advanced computing in horizon 2020, 2013.

K. Eguro and S. Hauck, Issues and approaches to coarse-grain reconfigurable architecture development, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003., pp.111-120, 2003.
DOI : 10.1109/FPGA.2003.1227247

D. Ehringer, The dalvik virtual machine architecture, 2010.

M. Fingeroff, High-level synthesis blue book. Xlibris Corporation, 2010.

A. Joseph, P. Fisher, C. Faraboschi, and . Young, Embedded computing : a VLIW approach to architecture, compilers and tools, 2005.

M. Fleischmann, Longrun power management. White Paper of Transmeta Corporation, 2001.

D. Daniel, . Gajski, D. Nikil, . Dutt, and C. Allen, High-level synthesis, 1992.

R. James, W. Goodman, and . Hsu, Code scheduling and register allocation in large basic blocks, Proceedings of the 2nd international conference on Supercomputing, pp.442-452, 1988.

L. John, . Hennessy, A. David, and . Patterson, Computer architecture : a quantitative approach, 2012.

R. Jain, A. Mujumdar, A. Sharma, and H. Wang, Empirical evaluation of some high-level synthesis scheduling heuristics, Proceedings of the 28th conference on ACM/IEEE design automation conference , DAC '91, pp.686-689, 1991.
DOI : 10.1145/127601.127751

R. Jejurikar and R. Gupta, Dynamic slack reclamation with procrastination scheduling in real-time embedded systems, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.111-116, 2005.
DOI : 10.1145/1065579.1065612

W. Kim, Y. Choi, and H. Park, Fast modulo scheduler utilizing patternized routes for coarse-grained reconfigurable architectures, ACM Transactions on Architecture and Code Optimization, vol.10, issue.4, p.58, 2013.
DOI : 10.1145/2541228.2555314

J. Meng, J. W. Sheaffer, and K. Skadron, Robust SIMD: Dynamically Adapted SIMD Width and Multi-Threading Depth, 2012 IEEE 26th International Parallel and Distributed Processing Symposium, pp.107-118, 2012.
DOI : 10.1109/IPDPS.2012.20

P. Michaud, A. Seznec, and S. Jourdan, Exploring instruction-fetch bandwidth requirement in wide-issue superscalar processors, 1999 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00425), pp.2-10, 1999.
DOI : 10.1109/PACT.1999.807388

URL : https://hal.archives-ouvertes.fr/inria-00077111

C. George, S. Necula, . Mcpeak, P. Shree, W. Rahul et al., Cil : Intermediate language and tools for analysis and transformation of c programs, Compiler Construction, pp.213-228, 2002.

D. Nuzman, S. Dyshel, E. Rohou, I. Rosen, K. Williams et al., Vapor SIMD: Auto-vectorize once, run everywhere, International Symposium on Code Generation and Optimization (CGO 2011), pp.151-160, 2011.
DOI : 10.1109/CGO.2011.5764683

URL : https://hal.archives-ouvertes.fr/inria-00589692

H. Park, K. Fan, A. Scott, T. Mahlke, H. Oh et al., Edgecentric modulo scheduling for coarse-grained reconfigurable architectures, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pp.166-176, 2008.
DOI : 10.1145/1454115.1454140

URL : http://cccp.eecs.umich.edu/papers/parkhc-pact08.pdf

S. Park, J. Park, D. Shin, Y. Wang, Q. Xie et al., Accurate modeling of the delay and energy overhead of dynamic voltage and frequency scaling in modern microprocessors. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.32, issue.5, pp.695-708, 2013.

H. Rong, H. Park, Y. Wu, and C. Wang, Just-In-Time Software Pipelining, Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization, CGO '14, p.11, 2014.
DOI : 10.1145/2581122.2544148

M. Robert and . Tomasulo, An efficient algorithm for exploiting multiple arithmetic units, IBM Journal of research and Development, vol.11, issue.1, pp.25-33, 1967.

C. Villavieja, J. A. Joao, R. Miftakhutdinov, and Y. N. Patt, Yoga : A hybrid dynamic vliw/ooo processor, 2014.